diff --git a/library/Makefile b/library/Makefile index 02af06029f..1b30ed3599 100644 --- a/library/Makefile +++ b/library/Makefile @@ -1,7 +1,8 @@ #################################################################################### #################################################################################### -## Copyright (c) 2018 - 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause +## Auto-generated, do not modify! #################################################################################### #################################################################################### diff --git a/library/axi_ltc235x/Makefile b/library/axi_ltc235x/Makefile index 2321a36f68..0de1eadd6d 100644 --- a/library/axi_ltc235x/Makefile +++ b/library/axi_ltc235x/Makefile @@ -1,8 +1,8 @@ -############################################################################### -## Copyright (C) 2018-2023 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -############################################################################### +#################################################################################### LIBRARY_NAME := axi_ltc235x diff --git a/library/axi_pulsar_lvds/Makefile b/library/axi_pulsar_lvds/Makefile index 50042da03c..f82ad2790f 100755 --- a/library/axi_pulsar_lvds/Makefile +++ b/library/axi_pulsar_lvds/Makefile @@ -27,4 +27,7 @@ XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc XILINX_DEPS += axi_pulsar_lvds_ip.tcl +XILINX_DEPS += ../interfaces/fifo_wr.xml +XILINX_DEPS += ../interfaces/fifo_wr_rtl.xml + include ../scripts/library.mk diff --git a/library/axi_pwm_gen/Makefile b/library/axi_pwm_gen/Makefile index 01a7bfbf3a..d3d0fa79ff 100644 --- a/library/axi_pwm_gen/Makefile +++ b/library/axi_pwm_gen/Makefile @@ -21,7 +21,6 @@ XILINX_LIB_DEPS += util_cdc INTEL_DEPS += ../intel/common/up_rst_constr.sdc INTEL_DEPS += ../util_cdc/sync_bits.v INTEL_DEPS += ../util_cdc/sync_data.v -INTEL_DEPS += ../util_cdc/sync_event.v INTEL_DEPS += axi_pwm_gen_constr.sdc INTEL_DEPS += axi_pwm_gen_hw.tcl diff --git a/library/util_sigma_delta_spi/Makefile b/library/util_sigma_delta_spi/Makefile index 80b90f6420..026811adf8 100644 --- a/library/util_sigma_delta_spi/Makefile +++ b/library/util_sigma_delta_spi/Makefile @@ -13,4 +13,6 @@ XILINX_DEPS += util_sigma_delta_spi_ip.tcl XILINX_DEPS += ../spi_engine/interfaces/spi_engine.xml XILINX_DEPS += ../spi_engine/interfaces/spi_engine_rtl.xml +XILINX_INTERFACE_DEPS += spi_engine/interfaces + include ../scripts/library.mk diff --git a/projects/ad4170_asdz/coraz7s/Makefile b/projects/ad4170_asdz/coraz7s/Makefile index c4dc0c0a02..63dcfe38c6 100644 --- a/projects/ad4170_asdz/coraz7s/Makefile +++ b/projects/ad4170_asdz/coraz7s/Makefile @@ -1,5 +1,5 @@ #################################################################################### -## Copyright (c) 2024 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### @@ -11,6 +11,8 @@ M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_ps7.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_constr.xdc M_DEPS += ../../common/coraz7s/coraz7s_system_bd.tcl +M_DEPS += ../../../library/util_cdc/sync_bits.v +M_DEPS += ../../../library/spi_engine/scripts/spi_engine.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_edge_detect.v diff --git a/projects/ad4170_asdz/de10nano/Makefile b/projects/ad4170_asdz/de10nano/Makefile index 3f6bec61eb..8702168175 100755 --- a/projects/ad4170_asdz/de10nano/Makefile +++ b/projects/ad4170_asdz/de10nano/Makefile @@ -1,23 +1,25 @@ -##################################################################################### -## Copyright (c) 2024 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### PROJECT_NAME := ad4170_asdz_de10nano +M_DEPS += ../common/ad4170_asdz_qsys.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/de10nano/de10nano_system_qsys.tcl M_DEPS += ../../common/de10nano/de10nano_system_assign.tcl -M_DEPS += ../../../library/common/ad_edge_detect.v M_DEPS += ../../../library/util_cdc/sync_bits.v +M_DEPS += ../../../library/common/ad_edge_detect.v +LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom include ../../scripts/project-intel.mk diff --git a/projects/ad7124_asdz/de10nano/Makefile b/projects/ad7124_asdz/de10nano/Makefile index d3582c023b..59f0639022 100755 --- a/projects/ad7124_asdz/de10nano/Makefile +++ b/projects/ad7124_asdz/de10nano/Makefile @@ -1,8 +1,8 @@ -################################################################################## +#################################################################################### ## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -################################################################################## +#################################################################################### PROJECT_NAME := ad7124_asdz_de10nano diff --git a/projects/ad738x_fmc/zed/Makefile b/projects/ad738x_fmc/zed/Makefile index be8cd5c619..2707abbcee 100644 --- a/projects/ad738x_fmc/zed/Makefile +++ b/projects/ad738x_fmc/zed/Makefile @@ -1,11 +1,14 @@ #################################################################################### -## Copyright (c) 2018 - 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### PROJECT_NAME := ad738x_fmc_zed +M_DEPS += system_constr_4sdi.xdc +M_DEPS += system_constr_2sdi.xdc +M_DEPS += system_constr_1sdi.xdc M_DEPS += ../common/ad738x_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc @@ -26,7 +29,5 @@ LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer -LIB_DEPS += util_axis_upscale -LIB_DEPS += util_pulse_gen include ../../scripts/project-xilinx.mk diff --git a/projects/ad7606x_fmc/zed/Makefile b/projects/ad7606x_fmc/zed/Makefile index 7c11423ae7..6d8a0284a7 100644 --- a/projects/ad7606x_fmc/zed/Makefile +++ b/projects/ad7606x_fmc/zed/Makefile @@ -6,6 +6,11 @@ PROJECT_NAME := ad7606x_fmc_zed +M_DEPS += system_constr_spi_8.xdc +M_DEPS += system_constr_spi_4.xdc +M_DEPS += system_constr_spi_2.xdc +M_DEPS += system_constr_spi_1.xdc +M_DEPS += system_constr_pif.xdc M_DEPS += ../common/ad7606x_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc diff --git a/projects/ad9081_fmca_ebz/s10soc/Makefile b/projects/ad9081_fmca_ebz/s10soc/Makefile index 40ec1532d2..9b38c89fb2 100644 --- a/projects/ad9081_fmca_ebz/s10soc/Makefile +++ b/projects/ad9081_fmca_ebz/s10soc/Makefile @@ -8,10 +8,10 @@ PROJECT_NAME := ad9081_fmca_ebz_s10soc M_DEPS += ../common/ad9081_fmca_ebz_qsys.tcl M_DEPS += ../../scripts/adi_pd.tcl -M_DEPS += ../../common/intel/dacfifo_qsys.tcl -M_DEPS += ../../common/intel/adcfifo_qsys.tcl M_DEPS += ../../common/s10soc/s10soc_system_qsys.tcl M_DEPS += ../../common/s10soc/s10soc_system_assign.tcl +M_DEPS += ../../common/intel/dacfifo_qsys.tcl +M_DEPS += ../../common/intel/adcfifo_qsys.tcl M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac diff --git a/projects/ad9081_fmca_ebz/vck190/Makefile b/projects/ad9081_fmca_ebz/vck190/Makefile index 14af9a41f1..6f14986715 100644 --- a/projects/ad9081_fmca_ebz/vck190/Makefile +++ b/projects/ad9081_fmca_ebz/vck190/Makefile @@ -17,6 +17,7 @@ M_DEPS += ../../common/vck190/vck190_system_bd.tcl M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl +M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v diff --git a/projects/ad9081_fmca_ebz/vpk180/Makefile b/projects/ad9081_fmca_ebz/vpk180/Makefile index db87b1699f..330aad4ba3 100644 --- a/projects/ad9081_fmca_ebz/vpk180/Makefile +++ b/projects/ad9081_fmca_ebz/vpk180/Makefile @@ -1,5 +1,5 @@ #################################################################################### -## Copyright (c) 2018 - 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### @@ -16,6 +16,7 @@ M_DEPS += ../../common/vpk180/vpk180_system_bd.tcl M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl +M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v diff --git a/projects/ad9082_fmca_ebz/vck190/Makefile b/projects/ad9082_fmca_ebz/vck190/Makefile index 212339d3e2..d92265c7a5 100644 --- a/projects/ad9082_fmca_ebz/vck190/Makefile +++ b/projects/ad9082_fmca_ebz/vck190/Makefile @@ -1,5 +1,5 @@ #################################################################################### -## Copyright (c) 2018 - 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### @@ -20,6 +20,7 @@ M_DEPS += ../../ad9081_fmca_ebz/vck190/system_bd.tcl M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl +M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v diff --git a/projects/ad9082_fmca_ebz/vpk180/Makefile b/projects/ad9082_fmca_ebz/vpk180/Makefile index ed930b3b6d..e7b4ff0a9c 100644 --- a/projects/ad9082_fmca_ebz/vpk180/Makefile +++ b/projects/ad9082_fmca_ebz/vpk180/Makefile @@ -19,6 +19,7 @@ M_DEPS += ../../ad9081_fmca_ebz/vpk180/system_bd.tcl M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl +M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v diff --git a/projects/ad9209_fmca_ebz/vck190/Makefile b/projects/ad9209_fmca_ebz/vck190/Makefile index d804ed476d..6d4c6b2138 100644 --- a/projects/ad9209_fmca_ebz/vck190/Makefile +++ b/projects/ad9209_fmca_ebz/vck190/Makefile @@ -1,5 +1,5 @@ #################################################################################### -## Copyright (c) 2018 - 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### @@ -18,6 +18,7 @@ M_DEPS += ../../ad9081_fmca_ebz/vck190/system_bd.tcl M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl +M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v diff --git a/projects/ad9213_evb/Makefile b/projects/ad9213_evb/Makefile index a4c281fa4f..6667c4f442 100755 --- a/projects/ad9213_evb/Makefile +++ b/projects/ad9213_evb/Makefile @@ -1,7 +1,7 @@ -############################################################################### -## Copyright (C) 2022-2023 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -############################################################################### +#################################################################################### include ../scripts/project-toplevel.mk diff --git a/projects/ad9213_evb/vcu118/Makefile b/projects/ad9213_evb/vcu118/Makefile index f897c0a088..33c8f165e0 100755 --- a/projects/ad9213_evb/vcu118/Makefile +++ b/projects/ad9213_evb/vcu118/Makefile @@ -1,8 +1,8 @@ -############################################################################### -## Copyright (C) 2022-2023 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -############################################################################### +#################################################################################### PROJECT_NAME := ad9213_evb_vcu118 diff --git a/projects/adrv9026/a10soc/Makefile b/projects/adrv9026/a10soc/Makefile index e23180c073..019fa6e7b1 100755 --- a/projects/adrv9026/a10soc/Makefile +++ b/projects/adrv9026/a10soc/Makefile @@ -1,8 +1,8 @@ -############################################################################### -## Copyright (C) 2023-2024 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -############################################################################### +#################################################################################### PROJECT_NAME := adrv9026_a10soc diff --git a/projects/adrv904x/zcu102/Makefile b/projects/adrv904x/zcu102/Makefile index 0dd28ccb4c..df8bcfabc2 100644 --- a/projects/adrv904x/zcu102/Makefile +++ b/projects/adrv904x/zcu102/Makefile @@ -6,11 +6,14 @@ PROJECT_NAME := adrv904x_zcu102 +M_DEPS += ../common/versal_transceiver.tcl M_DEPS += ../common/adrv904x_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/data_offload_bd.tcl +M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc +M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl @@ -27,6 +30,8 @@ LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom LIB_DEPS += util_do_ram LIB_DEPS += util_hbm diff --git a/projects/dc2677a/Makefile b/projects/dc2677a/Makefile index a3e47bd1be..6667c4f442 100644 --- a/projects/dc2677a/Makefile +++ b/projects/dc2677a/Makefile @@ -1,7 +1,7 @@ -############################################################################### -## Copyright (C) 2018-2023 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -############################################################################### +#################################################################################### include ../scripts/project-toplevel.mk diff --git a/projects/dc2677a/c5soc/Makefile b/projects/dc2677a/c5soc/Makefile index 013f2c798b..f6bc547766 100644 --- a/projects/dc2677a/c5soc/Makefile +++ b/projects/dc2677a/c5soc/Makefile @@ -1,18 +1,22 @@ -############################################################################### -## Copyright (C) 2018-2023 Analog Devices, Inc. +#################################################################################### +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! -############################################################################### +#################################################################################### PROJECT_NAME := dc2677a_c5soc -M_DEPS += ../../scripts/adi_project_intel.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../dc2677a/common/dc2677a_qsys.tcl M_DEPS += ../../common/c5soc/c5soc_system_qsys.tcl M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl -M_DEPS += ../../../scripts/adi_env.tcl +LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx +LIB_DEPS += axi_ltc235x +LIB_DEPS += axi_pwm_gen +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom +LIB_DEPS += util_pack/util_cpack2 include ../../scripts/project-intel.mk diff --git a/projects/max96724/kv260/Makefile b/projects/max96724/kv260/Makefile index 748864d595..ce78c2f973 100644 --- a/projects/max96724/kv260/Makefile +++ b/projects/max96724/kv260/Makefile @@ -1,12 +1,11 @@ #################################################################################### -## Copyright (c) 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### PROJECT_NAME := max96724_kv260 -M_DEPS += system_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/kv260/kv260_system_constr.xdc M_DEPS += ../../common/kv260/kv260_system_bd.tcl diff --git a/projects/sidekiqz2/Makefile b/projects/sidekiqz2/Makefile index cf6f2f729b..17dd7ec34c 100755 --- a/projects/sidekiqz2/Makefile +++ b/projects/sidekiqz2/Makefile @@ -1,5 +1,5 @@ #################################################################################### -## Copyright (c) 2018 - 2023 Analog Devices, Inc. +## Copyright (c) 2018 - 2024 Analog Devices, Inc. ### SPDX short identifier: BSD-1-Clause ## Auto-generated, do not modify! #################################################################################### @@ -13,9 +13,11 @@ M_DEPS += ../../library/util_cdc/sync_bits.v M_DEPS += ../../library/common/util_pulse_gen.v M_DEPS += ../../library/common/ad_iobuf.v M_DEPS += ../../library/common/ad_bus_mux.v +M_DEPS += ../../library/axi_tdd/scripts/axi_tdd.tcl LIB_DEPS += axi_ad9361 LIB_DEPS += axi_dmac +LIB_DEPS += axi_tdd LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2