Skip to content

Commit

Permalink
Regenerate Makefiles with mistakes
Browse files Browse the repository at this point in the history
Signed-off-by: Iulia Moldovan <[email protected]>
  • Loading branch information
IuliaCMoldovan committed Aug 30, 2024
1 parent 32c5a33 commit 249df39
Show file tree
Hide file tree
Showing 24 changed files with 70 additions and 40 deletions.
3 changes: 2 additions & 1 deletion library/Makefile
Original file line number Diff line number Diff line change
@@ -1,7 +1,8 @@
####################################################################################
####################################################################################
## Copyright (c) 2018 - 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################
####################################################################################

Expand Down
6 changes: 3 additions & 3 deletions library/axi_ltc235x/Makefile
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
###############################################################################
## Copyright (C) 2018-2023 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
###############################################################################
####################################################################################

LIBRARY_NAME := axi_ltc235x

Expand Down
3 changes: 3 additions & 0 deletions library/axi_pulsar_lvds/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -27,4 +27,7 @@ XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc
XILINX_DEPS += axi_pulsar_lvds_ip.tcl

XILINX_DEPS += ../interfaces/fifo_wr.xml
XILINX_DEPS += ../interfaces/fifo_wr_rtl.xml

include ../scripts/library.mk
1 change: 0 additions & 1 deletion library/axi_pwm_gen/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,6 @@ XILINX_LIB_DEPS += util_cdc
INTEL_DEPS += ../intel/common/up_rst_constr.sdc
INTEL_DEPS += ../util_cdc/sync_bits.v
INTEL_DEPS += ../util_cdc/sync_data.v
INTEL_DEPS += ../util_cdc/sync_event.v
INTEL_DEPS += axi_pwm_gen_constr.sdc
INTEL_DEPS += axi_pwm_gen_hw.tcl

Expand Down
2 changes: 2 additions & 0 deletions library/util_sigma_delta_spi/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -13,4 +13,6 @@ XILINX_DEPS += util_sigma_delta_spi_ip.tcl
XILINX_DEPS += ../spi_engine/interfaces/spi_engine.xml
XILINX_DEPS += ../spi_engine/interfaces/spi_engine_rtl.xml

XILINX_INTERFACE_DEPS += spi_engine/interfaces

include ../scripts/library.mk
4 changes: 3 additions & 1 deletion projects/ad4170_asdz/coraz7s/Makefile
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
####################################################################################
## Copyright (c) 2024 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################
Expand All @@ -11,6 +11,8 @@ M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/coraz7s/coraz7s_system_ps7.tcl
M_DEPS += ../../common/coraz7s/coraz7s_system_constr.xdc
M_DEPS += ../../common/coraz7s/coraz7s_system_bd.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/spi_engine/scripts/spi_engine.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_edge_detect.v

Expand Down
10 changes: 6 additions & 4 deletions projects/ad4170_asdz/de10nano/Makefile
Original file line number Diff line number Diff line change
@@ -1,23 +1,25 @@
#####################################################################################
## Copyright (c) 2024 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################

PROJECT_NAME := ad4170_asdz_de10nano

M_DEPS += ../common/ad4170_asdz_qsys.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/de10nano/de10nano_system_qsys.tcl
M_DEPS += ../../common/de10nano/de10nano_system_assign.tcl
M_DEPS += ../../../library/common/ad_edge_detect.v
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/common/ad_edge_detect.v

LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_sysid
LIB_DEPS += sysid_rom
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += sysid_rom

include ../../scripts/project-intel.mk
4 changes: 2 additions & 2 deletions projects/ad7124_asdz/de10nano/Makefile
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
##################################################################################
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
##################################################################################
####################################################################################

PROJECT_NAME := ad7124_asdz_de10nano

Expand Down
7 changes: 4 additions & 3 deletions projects/ad738x_fmc/zed/Makefile
Original file line number Diff line number Diff line change
@@ -1,11 +1,14 @@
####################################################################################
## Copyright (c) 2018 - 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################

PROJECT_NAME := ad738x_fmc_zed

M_DEPS += system_constr_4sdi.xdc
M_DEPS += system_constr_2sdi.xdc
M_DEPS += system_constr_1sdi.xdc
M_DEPS += ../common/ad738x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
Expand All @@ -26,7 +29,5 @@ LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += sysid_rom
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_axis_upscale
LIB_DEPS += util_pulse_gen

include ../../scripts/project-xilinx.mk
5 changes: 5 additions & 0 deletions projects/ad7606x_fmc/zed/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -6,6 +6,11 @@

PROJECT_NAME := ad7606x_fmc_zed

M_DEPS += system_constr_spi_8.xdc
M_DEPS += system_constr_spi_4.xdc
M_DEPS += system_constr_spi_2.xdc
M_DEPS += system_constr_spi_1.xdc
M_DEPS += system_constr_pif.xdc
M_DEPS += ../common/ad7606x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
Expand Down
4 changes: 2 additions & 2 deletions projects/ad9081_fmca_ebz/s10soc/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -8,10 +8,10 @@ PROJECT_NAME := ad9081_fmca_ebz_s10soc

M_DEPS += ../common/ad9081_fmca_ebz_qsys.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/intel/dacfifo_qsys.tcl
M_DEPS += ../../common/intel/adcfifo_qsys.tcl
M_DEPS += ../../common/s10soc/s10soc_system_qsys.tcl
M_DEPS += ../../common/s10soc/s10soc_system_assign.tcl
M_DEPS += ../../common/intel/dacfifo_qsys.tcl
M_DEPS += ../../common/intel/adcfifo_qsys.tcl
M_DEPS += ../../../library/common/ad_3w_spi.v

LIB_DEPS += axi_dmac
Expand Down
1 change: 1 addition & 0 deletions projects/ad9081_fmca_ebz/vck190/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -17,6 +17,7 @@ M_DEPS += ../../common/vck190/vck190_system_bd.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v
Expand Down
3 changes: 2 additions & 1 deletion projects/ad9081_fmca_ebz/vpk180/Makefile
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
####################################################################################
## Copyright (c) 2018 - 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################
Expand All @@ -16,6 +16,7 @@ M_DEPS += ../../common/vpk180/vpk180_system_bd.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v
Expand Down
3 changes: 2 additions & 1 deletion projects/ad9082_fmca_ebz/vck190/Makefile
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
####################################################################################
## Copyright (c) 2018 - 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################
Expand All @@ -20,6 +20,7 @@ M_DEPS += ../../ad9081_fmca_ebz/vck190/system_bd.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v
Expand Down
1 change: 1 addition & 0 deletions projects/ad9082_fmca_ebz/vpk180/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ M_DEPS += ../../ad9081_fmca_ebz/vpk180/system_bd.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v
Expand Down
3 changes: 2 additions & 1 deletion projects/ad9209_fmca_ebz/vck190/Makefile
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
####################################################################################
## Copyright (c) 2018 - 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################
Expand All @@ -18,6 +18,7 @@ M_DEPS += ../../ad9081_fmca_ebz/vck190/system_bd.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl
M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v
Expand Down
6 changes: 3 additions & 3 deletions projects/ad9213_evb/Makefile
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
###############################################################################
## Copyright (C) 2022-2023 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
###############################################################################
####################################################################################

include ../scripts/project-toplevel.mk
6 changes: 3 additions & 3 deletions projects/ad9213_evb/vcu118/Makefile
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
###############################################################################
## Copyright (C) 2022-2023 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
###############################################################################
####################################################################################

PROJECT_NAME := ad9213_evb_vcu118

Expand Down
6 changes: 3 additions & 3 deletions projects/adrv9026/a10soc/Makefile
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
###############################################################################
## Copyright (C) 2023-2024 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
###############################################################################
####################################################################################

PROJECT_NAME := adrv9026_a10soc

Expand Down
5 changes: 5 additions & 0 deletions projects/adrv904x/zcu102/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -6,11 +6,14 @@

PROJECT_NAME := adrv904x_zcu102

M_DEPS += ../common/versal_transceiver.tcl
M_DEPS += ../common/adrv904x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/data_offload_bd.tcl
M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl
M_DEPS += ../../../library/util_hbm/scripts/adi_util_hbm.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
Expand All @@ -27,6 +30,8 @@ LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx
LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx
LIB_DEPS += sysid_rom
LIB_DEPS += util_do_ram
LIB_DEPS += util_hbm
Expand Down
6 changes: 3 additions & 3 deletions projects/dc2677a/Makefile
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
###############################################################################
## Copyright (C) 2018-2023 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
###############################################################################
####################################################################################

include ../scripts/project-toplevel.mk
14 changes: 9 additions & 5 deletions projects/dc2677a/c5soc/Makefile
Original file line number Diff line number Diff line change
@@ -1,18 +1,22 @@
###############################################################################
## Copyright (C) 2018-2023 Analog Devices, Inc.
####################################################################################
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
###############################################################################
####################################################################################

PROJECT_NAME := dc2677a_c5soc

M_DEPS += ../../scripts/adi_project_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../dc2677a/common/dc2677a_qsys.tcl
M_DEPS += ../../common/c5soc/c5soc_system_qsys.tcl
M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl
M_DEPS += ../../../scripts/adi_env.tcl

LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_ltc235x
LIB_DEPS += axi_pwm_gen
LIB_DEPS += axi_sysid
LIB_DEPS += sysid_rom
LIB_DEPS += util_pack/util_cpack2

include ../../scripts/project-intel.mk
3 changes: 1 addition & 2 deletions projects/max96724/kv260/Makefile
Original file line number Diff line number Diff line change
@@ -1,12 +1,11 @@
####################################################################################
## Copyright (c) 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################

PROJECT_NAME := max96724_kv260

M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/kv260/kv260_system_constr.xdc
M_DEPS += ../../common/kv260/kv260_system_bd.tcl
Expand Down
4 changes: 3 additions & 1 deletion projects/sidekiqz2/Makefile
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
####################################################################################
## Copyright (c) 2018 - 2023 Analog Devices, Inc.
## Copyright (c) 2018 - 2024 Analog Devices, Inc.
### SPDX short identifier: BSD-1-Clause
## Auto-generated, do not modify!
####################################################################################
Expand All @@ -13,9 +13,11 @@ M_DEPS += ../../library/util_cdc/sync_bits.v
M_DEPS += ../../library/common/util_pulse_gen.v
M_DEPS += ../../library/common/ad_iobuf.v
M_DEPS += ../../library/common/ad_bus_mux.v
M_DEPS += ../../library/axi_tdd/scripts/axi_tdd.tcl

LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_tdd
LIB_DEPS += util_pack/util_cpack2
LIB_DEPS += util_pack/util_upack2

Expand Down

0 comments on commit 249df39

Please sign in to comment.